Современные технологии автоматизации» («СТА») —  журнал для квалифицированных специалистов по промышленной автоматизации Форум СТА — современные технологии автоматизации Домашняя страница
Домашняя страница форума CTA Домашняя страница форума CTA > II. АСУТП и SCADA > ПЛК и микроконтроллеры
  Активные темы Активные темы
  FAQ FAQ  Искать в форуме   Зарегистрироваться Зарегистрироваться  Вход в систему Вход в систему

Таймер-сторож (watchdog) в wago 750-841

 Ответить Ответить
Автор
Сообщение
Aurel Смотреть выпадающим
Новичок
Новичок


Присоединился: 16 Февраль 2005
Online Status: Offline
Публикации: 15
Свойства публикации Свойства публикации   Ответить, цитируя автора - Aurel Ответить, цитируя автора -  ОтветитьОтвет Прямая ссылка на эту публикацию Тема сообщения: Таймер-сторож (watchdog) в wago 750-841
    Опубликовано: 15 Октябрь 2009 10:26
И вновь нужна помощь. Wago 750-841, программируем в codesys. При эмуляции вылетает с ошибкой ТАЙМЕР-СТОРОЖ текущий цикл выполняется слишком долго, смысл понятен - срабатывает watchdog в цикле while. Вопрос: можно как-нибудь отключить или увеличить время?
P.S. Пробывал делать отдельной задачей в конфигураторе задач - не получается.
Наверх
Chupakabra2 Смотреть выпадающим
Действительный член
Действительный член
Аватар

Присоединился: 19 Апрель 2006
Online Status: Offline
Публикации: 136
Свойства публикации Свойства публикации   Ответить, цитируя автора - Chupakabra2 Ответить, цитируя автора -  ОтветитьОтвет Прямая ссылка на эту публикацию Опубликовано: 15 Октябрь 2009 11:11

Такое было, исправлял именно созданием отдельной задачи в  конфигураторе задач, не помню, то ли свободной, то ли циклической. 

Есть подозрение что вложенный цикл while Вы используете не по назначению, программа не должне зацикливаться "надолго". Недетерминированные условия нужно проверять в основном программном цикле.

Наверх
Petrov Смотреть выпадающим
Действительный член
Действительный член
Аватар

Присоединился: 15 Январь 2004
Категория: Russian Federation
Online Status: Offline
Публикации: 805
Свойства публикации Свойства публикации   Ответить, цитируя автора - Petrov Ответить, цитируя автора -  ОтветитьОтвет Прямая ссылка на эту публикацию Опубликовано: 15 Октябрь 2009 13:31

Я думаю дело не в while. Симулятор в CoDeSys работает раз в 10 медленнее, чем живой контроллер. А может и в 100.

и от стальной его груди отскакивают стрелы.
Наверх
Aurel Смотреть выпадающим
Новичок
Новичок


Присоединился: 16 Февраль 2005
Online Status: Offline
Публикации: 15
Свойства публикации Свойства публикации   Ответить, цитируя автора - Aurel Ответить, цитируя автора -  ОтветитьОтвет Прямая ссылка на эту публикацию Опубликовано: 16 Октябрь 2009 10:34
To Chupakabra2:
вот в том-то и дело, что используем не по "назначению" и зацикливается "надолго", по-моему там ограничение порядка 20ms, ну уж очень хотелось сделать подольше (придется сделать по-другому).
Через задачи тоже не получилось, таже ситуация выход из цикла необходимо сделать быстрее чем сработает Watchdog.

To Petrov:
дело именно в while, на живом контроллере работает точно так же, вложенный цикл не может выполняться дольше определенного времени.

Ради интереса: Какое время заложено в watcdog? И где про это написано?
Наверх
Максим Ананских Смотреть выпадающим
Действительный член
Действительный член
Аватар

Присоединился: 14 Май 2003
Online Status: Offline
Публикации: 770
Свойства публикации Свойства публикации   Ответить, цитируя автора - Максим Ананских Ответить, цитируя автора -  ОтветитьОтвет Прямая ссылка на эту публикацию Опубликовано: 19 Октябрь 2009 03:50
Так это написано в настройках задач. А если Вы их не делали, значит, время стоит по умолчанию (если мне ни с кем не изменяет память, что-то около 1.6 секунды).
Инженер-системотехник
+7 (916) 477 3925
Наверх
 Ответить Ответить

Переход на форум Права доступа на форуме Смотреть выпадающим

Bulletin Board Software by Web Wiz Forums® version 9.64
Powered by Web Wiz Forums Free Express Edition
Copyright ©2001-2009 Web Wiz